top of page

TSMC UNIVERSITY FINFET PROGRAM

RESEARCH

TSMC 16nm and 7nm PDK/IP access for University research design and cost effective fabrication

EDUCATION

Enable University VLSI classes with TSMC's 16nm PDK, tutorials, and training materials 

APPLY

Click below to start the approval process

bottom of page